$defaultRNG seed 99

이 문장 하나로 간단히 시뮬레이션 전체의 랜덤 시드를 변경할 수 있다.

$defaultRNG seed 0

시드 값을 0으로 주면, 현재 시간을 시드 값으로 사용한다.
Posted by 배트
,